s7-200smartcpu接线图(S7-200SMARTCPU高速计数器专题)

关注“PLC发烧友”,一起涨知识!

回复:西门子全套,领西门子系列电子资料包

一、高速计数的概念

高速计数器是PLC CPU的内部集成的硬件高速计数器,通俗的讲就是对普通计数器无法记录到的高速脉冲信号进行计数,响应时间比普通计数器快,并且不受CPU的扫描时间影响,但会受脉冲输入信号的滤波时间影响计数。普通计数器在计数的过程中受PLC的扫描工作方式限制,如果被测信号的频率较高时,就会丢失计数脉冲;普通计数器一般仅为几十HZ。当脉冲频率比较高的时候,那么就需要高速计数器进行计数。

高速计数自然和编码器有着密不可分的关系。编码器分绝对值式编码器和增量式编码器;增量式编码式分PNP输出和NPN输出两种,其输出信号为脉冲信号;而绝对值式编码器输出是格雷码。实际项目多为增量式编码器,200SMART不能接5V差分信号编码器

二、各CPU型号所支持的高速计数个数

固件版本为2.3 版以上的CPU型号最多支持6路高速计数,但紧凑型的CPU只支持4路

标准型CPU高速计数器如下图:

s7-200smartcpu接线图(S7-200SMARTCPU高速计数器专题)(1)

紧凑型CPU高速计数器

s7-200smartcpu接线图(S7-200SMARTCPU高速计数器专题)(2)

三、高速计数器的输出模式

200 SMART CPU高速共有四种基本类型:带有内部方向控制的单相计数器,带有外部方向控制的单相计数器,带有两个时钟输入的双相计数器和A/B相正交计数器。其输出模式有八种:0/1/3/4/6/7/9/10 下面分别做详细介绍

模式0/1

s7-200smartcpu接线图(S7-200SMARTCPU高速计数器专题)(3)

模式3/4

s7-200smartcpu接线图(S7-200SMARTCPU高速计数器专题)(4)

模式6/7 带有增减计数时钟的双相计数器 6模式无复位输入,7模式使用外部复位输入

s7-200smartcpu接线图(S7-200SMARTCPU高速计数器专题)(5)

模式9和10 常用9模式

s7-200smartcpu接线图(S7-200SMARTCPU高速计数器专题)(6)

高速计数器所对应的编号及输入点和模式对照表

s7-200smartcpu接线图(S7-200SMARTCPU高速计数器专题)(7)

四、高速计数器的状态字和控制字及寻址

s7-200smartcpu接线图(S7-200SMARTCPU高速计数器专题)(8)

五、高速计数器的控制字节各个位的定义 控制字节常用16#F8

s7-200smartcpu接线图(S7-200SMARTCPU高速计数器专题)(9)

高速计数器的状态字节各个位的定义

bit 0 -bit4 保留

bit5 当前计数方向状态

0=减计数 1=加计数

bit6 当前值等于预设值的状态位

0=不相等 1=相等

bit7 当前值大于预设值状态位

0=小于或等于

1=大于

未完待续,欢迎关注我们

来源:PLC技术

回复:西门子全套,领西门子系列电子资料包

,

免责声明:本文仅代表文章作者的个人观点,与本站无关。其原创性、真实性以及文中陈述文字和内容未经本站证实,对本文以及其中全部或者部分内容文字的真实性、完整性和原创性本站不作任何保证或承诺,请读者仅作参考,并自行核实相关内容。文章投诉邮箱:anhduc.ph@yahoo.com

    分享
    投诉
    首页