继续教育集成电路(集成电路人才教育品牌)

中国芯集成电路人才培养工程

一、成电少年学简介

目录

成电少年学教育品牌(www.shaonianxue.cn),是电子科技大学广东电子信息工程研究院响应国家发展半导体产业战略,解决集成电路人才短缺而成立的人才战略发展品牌。通过与高校产教融合协同育人,共同培养集成电路、芯片领域相关高质量人才。

成电少年学教育,紧扣时代发展脉搏,借力国家政策驱动,潜心耕耘集成电路产业人才培养,通过与高校产教融合协同育人,共同培养集成电路产业高质量人才,夯筑国家集成电路产业人才根基,聚焦集成电路产业和信息产业生态建设,奋力打造数字经济与传统产业深度融合新高地,为新一轮科技创新添加新动能,致力于树立百年教育品牌为目标,擎起产教行业脊梁。

继续教育集成电路(集成电路人才教育品牌)(1)

目前品牌有成电少年学(人才培育)、成电国芯(产业对接)、成电精英(人才服务)等品牌,构建产教融合,集成电路人才服务平台。

二、成电少年学解决三大中国芯人才培养问题

一手拉企业,一手拉高校:

  • 配合解决高校人才培养(产教融合协同育人)及学生高质量就业;
  • 帮助解决企业招聘专业、高质量技能人才;
  • 解决中国芯产业发展人才短缺问题。

继续教育集成电路(集成电路人才教育品牌)(2)

三、成电少年学基地建设

广东大学生实训基地:电子科技大学广东电子信息工程研究院。固定办公场所1500多平,多媒体教室6间,会议室4间,可容纳300名同学上课。

继续教育集成电路(集成电路人才教育品牌)(3)

东莞大学生实训基地:该基地是东莞市集成电路创新中⼼(简称“DGICC”)由电⼦科技⼤学⼴东电⼦信息⼯程研究院牵头,依托电⼦科技⼤学电⼦薄膜与集成器件国家重点实验室,联合⽓派科技、东电检测、通科电⼦、砺芯半导体、迈科科技、派恩杰半导体等产业链企业共建,面向集成电路产业,建设⾏业⼀流的集成电路产品开发、中试制造、测试验证和⼈才培养平台,开展产业共性技术研究,支撑集成电路科技成果转化和产业链企业集聚,赋能东莞集成电路产业⾼质量发展。固定教学场地1000多平,多媒体教室6间,会议、接待与创新中心共享,可容纳200名同学上课。

继续教育集成电路(集成电路人才教育品牌)(4)

继续教育集成电路(集成电路人才教育品牌)(5)

成都大学生实训基地:AI创新中心。固定办公场所1200多平,多媒体教室4间,会议室4间,可容纳200名同学上课。

继续教育集成电路(集成电路人才教育品牌)(6)

继续教育集成电路(集成电路人才教育品牌)(7)

重庆大学生实训基地:电子科技大学重庆微电子产业技术研究院。固定办公场所3200多平,多媒体教室8间,会议室6间,可容纳400名同学上课。常年固定床位500多个(四、六人间)。园区内还配备有学术报告厅,专业的篮球场,食堂和生活超市,物业24小时巡逻,集学习和生活于一体,满足大家的日常生活和学习。

继续教育集成电路(集成电路人才教育品牌)(8)

继续教育集成电路(集成电路人才教育品牌)(9)

四、成电少年学荣誉

西部唯一的国家级工业软件协同攻关和体验推广中心、川渝工业软件人才培训基地、成都市工业软件创新服务平台、成都市工业软件评测服务中心参与单位。

工业软件协同攻关和体验推广中心人才培训、产品检测细分任务承担单位。

五、开设课程及教学资源

成电少年学专注于集成电路、芯片领域(人工智能、区块链、图像网络神经算法方向)人才培养,开设课程有:FPGA全栈课程(人工智能方向)、FPGA逻辑课程(软硬件协同)、FPGA验证课程、嵌入式(工业控制、人工智能方向)、SoC系统课程、数字IC设计课程、数字IC验证课程、FAE工程师课程。行业名师授课,线上线下(O2O)同步教学。2020年就业入职学员平均薪资10384.33元,2021就业入职学员平均薪资11600元,年2022年就业入职学员平均就业薪资12000元,入职企业在军工企业、国企、央企等。

(一)线上教学平台(zhiku.shaonianxue):

继续教育集成电路(集成电路人才教育品牌)(10)

(二)教材介绍:

目前FPGA课程共有三套教材:初级《xilinx FPGA入门基础指导教程》、中级《xilinx FPGA中级指导教程》、高级《xilinx FPGA高级指导教程》。

初级《xilinx FPGA入门基础指导教程》内容:

FPGA入门基础教程,内容包括verilog基本语法、数字电路基础、和Vivado基本使用方法等内容。整本书分为两个部分,第一部分介绍FPGA和Verilog基本概念,第二部分介绍具体FPGA编程方法。

课程目录:

第一章 课程介绍

章节1.1课程介绍

章节1.2板卡介绍

章节1.3课程考核

章节1.4证书(自选)

第二章 FPGA及Verilog基础

章节2.1前言

章节2.2FPGA发展历程

章节2.3FPGA厂商

章节2.4FPGA的优势

章节2.5FPGA的应用领域

章节2.6Verilog VS VHDL

章节2.7Verilog设计方法思路

章节2.8Verilog的抽象级别

第三章 Verilog基础(点亮LED灯)

章节3.1前言

章节3.2vivado安装

章节3.3原理图阅读

章节3.4vivado使用

章节3.5Verilog语法 & 点亮LED灯

章节3.6Xillinx FPGA架构

章节3.7训练 & 作业

第四章 Verilog基础(跑马灯)

章节4.1组合电路原理

章节4.2Verilog语法

章节4.3跑马灯实现

章节4.4训练 & 作业

第五章 Verilog基础(花式跑马灯)

章节5.1时序电路原理

章节5.2状态机理论

章节5.3花式跑马灯实现之状态机理论分析

章节5.4Verilog实现状态机

章节5.5Verilog实现状态机扩展

章节5.6训练 & 作业

第六章 Verilog基础(数码管显示)

章节6.1组合逻辑

章节6.2设计原理

章节6.3模块例化

章节6.4数码管显示实现

章节6.5训练 & 作业

第七章 Verilog基础(呼吸灯)

章节7.1PWM原理

章节7.2IPCore 调用

章节7.3呼吸灯实现

章节7.4训练 & 作业

第一部分首先通过介绍FPGA的基本概念、梳理其发展历程和对比FPGA和其他数字集成电路的优缺点,让学生弄清楚什么是FPGA以及其优势;紧接着通过对比Verilog和VHDL,让学生了解硬件编程语言(HDL)的基本概况;最后介绍使用Verilog进行编程的基本方法和思路,让学生从总体上认识到Verilog的编程过程。

第二部分的编程方法介绍中,通过5个大的实例带领初学者从入门到逐渐掌握状态机等基本FPGA编程方法。

第一个实验“点亮LED灯”通过手把手带领学生点亮FPGA开发板上的LED灯,让学生掌握基本的Vivado的使用、最简单的Verilog语法和基础原理图阅读方法,同时让学生初步认识课程使用的FPGA开发板并建立掌握FPGA的基本自信心;

第二个实验“跑马灯”带领学生掌握经常使用的Verilog语法,让学生逐渐能够用Verilog实现自己的想法,并分享基本的FPGA编程思路;

第三个实验“花式跑马灯”在基本Verilog语法基础之上,让学生开始使用状态机和了解时序电路设计方法,能够更加灵活的实现自己的想法;

第四个实验“数码管显示”在综合发挥前面学习内容基础之上,让学生掌握模块例化的方法,了解大型FPGA编程方法;第五个实验“呼吸灯”介绍了基本的PWM原理和IPCore调用方法,是对前面所学知识的巩固和进一步拓展。

本教程的安排由浅入深、循序渐进,带领零基础的学生逐渐掌握Verilog基本语法、数字电路基础、状态机编程思路、vivado基本使用方法、电路原理图基本阅读技巧和FPGA基本编程技巧等知识。通过本教程的学习,学生真正可以进入FPGA的精彩世界。

课程实验:

  1. 点亮LED灯

知识点:数字电路基础、Verilog基本语法、原理图阅读技巧、Vivado基本使用方法。

  • 跑马灯

知识点:组合电路原理、Verilog常用语法、跑马灯设计思路、同步复位异步释放、按键去抖动。

  • 花式跑马灯

知识点:状态机理论、状态转移图绘制方法、状态编码理论、三段式状态机、时序电路原理。

  • 数码管显示

知识点:组合逻辑电路原理、模块例化方法、数码管显示模块设计思路。

  • 呼吸灯

知识点:PWM理论、IPCore调用方法、呼吸灯设计思路。

中级《xilinx FPGA中级指导教程》内容:

FPGA中级基础教程,内容包括FPGA仿真方法、时序分析、UART、SPI、IIC、RAM/ROM等基本使用方法和技巧。整本书分为三个部分,第一部分介绍FPGA仿真基本方法,第二部分介绍常用FPGA编程技巧,第三部分介绍时序约束方法。

课程目录:

第一章 FPGA仿真

章节1.1FPGA仿真基本概念

章节1.2Verilog语法一

章节1.3Verilog语法二

章节1.4时序图及testbench编写

章节1.5vivado仿真示例(花式跑马灯)

章节1.6modelsim仿真示例(呼吸灯)

章节1.7作业:去抖动程序的仿真

第二章 在线调试

章节2.1ILA核在线调试(呼吸灯)

章节2.2Vivado在线调试实例及调试技巧

章节2.3作业:花式跑马灯的在线调试

第三章 工业现场通信协议

章节3.1通信基本理论

章节3.2RS232/RS484协议

章节3.3RS232的Verilog实现

章节3.4RS232代码仿真及时序分析

章节3.5RS232代码在线测试

章节3.6SPI协议

章节3.7SPI的Verilog实现

章节3.8SPI代码仿真及时序分析

章节3.9SPI控制LED整列

章节3.10I2C协议

章节3.11I2C的Verilog实现

章节3.12I2C代码仿真及时序分析

章节3.13I2C读取温度传感器

章节3.14作业:使用UART控制LED灯

第四章 数据缓存方法

章节4.1RAM、ROM、FIFO基本理论

章节4.2RAM基本理论

章节4.3双口RAM读写控制及仿真

章节4.4ROM读写控制及仿真

章节4.5FIFO读写控制及仿真

章节4.6作业:基于RAM存储的LED控制系统

第五章 FPGA时序分析

章节5.1时序约束理论一

章节5.2时序约束理论二

章节5.3静态时序分析报告及基本时序约束方法

章节5.4IO时序约束

章节5.5时钟周期约束

章节5.6多周期路径约束

章节5.7时序约束实例

章节5.8作业:分析UART系统的时序

第六章 综合实例

章节6.1实战项目:基于UART的超声波测距系统

第一部分介绍可用于FPGA仿真的Verilog语法、testbench编写方法、vivado仿真方法和modelsim仿真方法,通过该部分的学习,学生可以掌握基本的FPGA仿真流程和方法。

第二部分主要介绍FPGA编程中的基本方法和技巧。通过多个实验和仿真介绍了工业控制中经常用到的UART、SPI、IIC等通信方法;详细分析了FPGA编程中不可缺少的RAM/ROM、FIFO等的使用方法。通过该部分的学习,学生能够掌握FPGA编程中的基本通信方法和基本编程技巧。

第三部分介绍了FPGA编程中的难点——时序约束方法。首先,通过讲解FPGA静态时序分析理论,让学生了解时序分析和时序约束的原理;其次,通过介绍vivado的图形界面时序约束方法、TCL时序约束方法,进一步让学生了解FPGA时序约束的具体操作过程;最后,通过一个例子,讲解具体实例中时序约束的具体过程。

本教程的安排由浅入深、循序渐进,需要学生已经修完本系列课程的初级入门课程才能学习。通过本教程的学习,学生能掌握FPGA编程中经常使用的技巧和方法,能够应对大部分FPGA编程的工作。

课程实验:

1.基于Vivado的花式跑马灯时序仿真

verilog仿真语法,testbench编写方法,vivado基本仿真方法,

2.基于modelsim的呼吸灯时序仿真

modelsim安装方法,modelsim基本仿真方法

3.UART串口通信

RS232协议,RS232的verilog实现方法,RS232仿真方法,UART串口通信实验

4.基于SPI的LED整列

SPI协议,SPI的verilog实现方法,SPI仿真方法,SPI控制LED整列

5.基于I2C的温度传感器

I2C协议,I2C的verilog实现方法,I2C仿真方法,I2C读取温度

6.UART及ADC时序分析实例

系统时钟树分析,时钟约束,IO约束,延迟约束,伪路径约束

(三)FPGA工程师证书一套(含初级、中级、高级):

继续教育集成电路(集成电路人才教育品牌)(11)

  1. 概要:

FPGA工程师证书是FPGA工程能力等级的认证。认证采用电子科技大学广东电子信息工程研究院,微电子专业人才培养体系标准,重在考察学员在FPGA软硬件设计及开发过的实际操作能力。证书严格按照行业标准进行考核,理论考试占比40%,工程操作占比60%。证书分为初级、中级、高级三个等级,其中初级认证匹配教材《xilinx FPGA入门基础指导教程》、中级认证匹配教材《xilinx FPGA中级技术指导教程》、高级认证匹配《xilinx FPGA高级技术指导教程》。

有效标签: 行业标准、实际操作能力

证书类型: 机构认证

认证层次: 初级、中级、高级

FPGA工程师认证匹配生态行业:军事航空航天、无线通讯、芯片验证、智能驾驶/医疗/工业、广播电视/视频处理

  • FPGA工程师证书设计理念:FPGA工程师证书标准融合“课证岗赛,四位一体”人才培养标准

证课一体:证书课程体系设置结合企业用人岗位需求

证赛一体:证书课程理论、实操融合行业创新大赛内容

证岗一体:各等级证书考核标准融合FPGA各个具体岗位用人技术标准

赛课一体:通过行业创新大赛标准完善证书课程内容

岗课一体:通过企业岗位需求完善证书知识架构与实践项目

赛岗一体:通过以赛促学、以用促学的理念,把标准和证书考核标准进行融合

  • FPGA工程师证书对应的岗位:

初级:FPGA初级工程师标准,具备初级的FPGA应用能力,可以从事FPGA基础开发

中级:FPGA中级工程师标准,掌握开发工具与代码编写能力,熟悉硬件结构,可以从事FPGA开发工作

高级:FPGA高级工程师标准,掌握开发工具与代码编写能力,熟悉硬件结构,可以从事系统结构设计,软硬件系统设计

  • FPGA工程师证书工本费:

等级 证书类型 考试费用 考试机会

初级 FPGA初级工程师 199 一次补考

中级 FPGA设计工程师 499 无

高级 FPGA开发工程师 899 无

  • FPGA工程师证书考试说明:2022年6月前采用线上考试方式(根据疫情情况在官网通知)

1、考试方式:考试分为理论部分和操作部分;

初级:理论部分40分钟,操作部分60分钟;

中级:理论部分40分钟,操作部分120分钟;

高级:理论部分40分钟,操作部分180分钟;

2、考试要求:考生须自行准备电脑,保障网络畅通。如出现掉线、答题延时、重复提交等因个人情况导致答题过程不符合标准的,视成绩无效。且当次考试不提供补考措施,如需再次考试,请预约下一周期考试时间。

3、操作流程:

Step1、

在官网确认个人资料、完成身份验证,并确认考试时间。考务考试在收到确认考试信息后会电话和考生确认考试安排;

Step2、

理论部分:进入考试系统,请确认考试信息、考试等级及考生个人信息是否正确,如确认无误,点击“开始考试”按钮进入答题环节,考试计时开始;

操作部分:监考老师确认考试信息,身份验证,确认操作视频监控后方可进入操作计时;

Step3、

理论部分:考生答题完毕后,可以通过“提交试卷”完成答题。等待系统提示“提交成功”方可确认完成本次考试:

操作部分:考生答题完毕后,监考老师验收项目及考试监控后,把答题提交给监考老师并确认完成,方可结束。

  • FPGA工程师证书对应教材下载:

初级:《xilinx FPGA入门基础指导教程》

中级:《xilinx FPGA中级基础指导教程》

高级:《xilinx FPGA高级基础指导教程》

视频及教程资料:zhiku.shaonianxue.cn。

(四)FPGA工程师教材三套(含初级、中级、高级(待出版)):

继续教育集成电路(集成电路人才教育品牌)(12)

(五)课件及课程视频资料600 学时:

FPGA课程总课程内容为100天,课程内容包含:工程源码、教案、习题、PPT课件等内容。课程内容已经更新第四个版本。

继续教育集成电路(集成电路人才教育品牌)(13)

(六)课程配套教学板卡3套:

继续教育集成电路(集成电路人才教育品牌)(14)

(七)课程持续更新到4.0版:

继续教育集成电路(集成电路人才教育品牌)(15)

六、人才培养理念

以客户为中心,坚持不断提升教学品质,优化教学课程内容,做到“课岗对接”的教学思路:(1)课程设置对标企业真实用人岗位需求,即所学即所用;(2)教学项目对标行业最新技术标准,技术保持最前沿;(3)教学场景对接企业工作场景,还原真实工作情景。

七、合作企业已突破500家

成电少年学合作的企业主要分布以下几个行业:军工航天企业占比24%;半导体企业占比22%;5G通信行业占比15%;工业自动化占比13%;计算机互联网占比11%;医疗行业占比8%;金融、智慧交通占比7%。其中FPGA岗位给出的薪资,月薪8K-10K的占比34.3%;月薪10K-15K的占比44.5%。

继续教育集成电路(集成电路人才教育品牌)(16)

八、合作高校162所

本科合作院校(部分):中山大学、广东工业大学、广东海洋大学、西南大学、重庆交通大学,重庆理工大学,重庆邮电大学,重庆文理学院、重庆工商大学、长江师范学院、曲靖师范学院、滇西科技师范学院等。

九、人才培养成果

自19年来,FPGA技术讲座推广高校行完成1238场,覆盖同学32521人。2021FPGA精英人才培养计划完成331人,并成功推送到合作企业。平均就业薪资15384.33元,高出同级毕业生就业薪资52%。

继续教育集成电路(集成电路人才教育品牌)(17)

继续教育集成电路(集成电路人才教育品牌)(18)

十、2022年集成电路人才培育计划

2022年已确认企业招聘订单665人。成电少年学计划完成高校巡回讲座120场次,实训3000-5000人,高级工程师人才培养2000-3000人。

,

免责声明:本文仅代表文章作者的个人观点,与本站无关。其原创性、真实性以及文中陈述文字和内容未经本站证实,对本文以及其中全部或者部分内容文字的真实性、完整性和原创性本站不作任何保证或承诺,请读者仅作参考,并自行核实相关内容。文章投诉邮箱:anhduc.ph@yahoo.com

    分享
    投诉
    首页