如何理解eda行业的产业价值:工业软件与半导体双轮驱动

(报告出品方/作者:华安证券,尹沿技)

1.从辅助设计到自动化设计,EDA 成为芯片产业链支点

1.1 集成电路设计之脑,万亿电子产业之基

EDA是集成电路领域的 CAD 加 CAE,典型的技术与知识密集型产业。电子设计自 动化(EDA)是利用计算机辅助设计软件,来完成超大规模集成电路芯片的功能设计、 综合、验证、物理设计(布局、布线、版图、设计规则检查等)等流程的设计方式。从功能 来看整个集成电路 EDA 工具通常可以分为三大类。

1)综合设计工具。主要应用于 Fabless 厂,完成系统整合、逻辑综合、布局布线等各级设计。2)仿真工具。验证设计 的正确性并优化设计结构,包括电路仿真与验证、物理设计规则检查等。3)测试与数据 管理工具。主要应用于 Foundry 厂,完成测试芯片的设计、提升测试精度、进行制造工艺和成品的数据分析等。从底层技术来看,EDA 工具需要对数千种情境进行快速设计探索,实现性能、功耗、面积、成本等芯片物理指标和经济指标的平衡,需要计算机、数 学、物理、电子电路、工艺等多种学科的紧密配合,是典型的技术与知识密集型产业。

EDA 是集成电路设计发展的必然选择,电子产业的根基技术。随着半导体行业的发 展,集成电路的复杂程度指数级上升,现在集成度最高的芯片已经集成了数万亿个晶体 管,未来芯片的集成度会越来越高,人工绘图已经是不可能完成的任务,因此利用计算 机辅助手段解决集成电路设计问题的 EDA 工具成为 IC 设计的必需品。同时 EDA 工具 也是 IC 设计企业降本增效的必然选择,根据加州大学圣迭戈分校 Andrew Kahng 教授 的推测,EDA 技术进步让设计效率提升近 200 倍,将消费级 SoC 的设计成本从 77 亿美 元降低到 4500 万美元。从应用来看,EDA 工具贯穿电子设计的多个环节,覆盖的环节 包括数字芯片设计、模拟设计、平板显示电路设计、晶圆制造、封装测试、系统仿真等。 从市场价值来看,百亿美元的 EDA 市场构筑了万亿电子产业的根基。

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(1)

​​

应用角度:EDA 工具广泛应用于多个设计场景,贯穿芯片设计各个环节。EDA 工具 种类繁多,广泛应用于数字设计、模拟设计、晶圆制造、封装、系统五大类场景。以 EDA 工具的主要应用场景数字设计为例,其前后端设计的多个环节均需要依赖 EDA 工具​实​ 现,前端设计:1)HDL 编码:将模块功能以代码(硬件描述语言)来描述实现。2)仿 真验证:检验编码设计的正确性。3)逻辑综合:把设计实现的 HDL 代码翻译成门级网 表。4)静态时序分析(SAT),在时序上对电路进行验证,检查电路是否存在建立时间 和保持时间的违例。5)形式验证:从功能上对综合后的网表进行验证。后端设计:1)可 测性设计:在设计的时候就考虑芯片自带的测试电路。2)布局规划:放置芯片的宏单元 模块,在总体上确定各种功能电路的摆放位置。3)时钟树综合:时钟的布线,时钟信号 在数字芯片起全局指挥作用,对称式地连到各个寄存器单元时延迟差异最小。4)布线: 各个标准单元之间的走线。

产业链角度:芯片是电子信息技术产业的根本,EDA 是芯片设计的最上游。芯片现 在已融入信息社会的各个方面,军、民、商各类电子信息设备的核心都是芯片,电子信 息技术产业发展的根基也是芯片。而 EDA 是芯片设计与生产的核心,从整个产业链来 看,EDA 是芯片制造的最上游产业,是衔接集成电路设计、制造和封测的关键纽带,对 行业生产效率、产品技术水平有重要影响。设计方面,设计人员必须使用 EDA 工具设计 几十万到数十亿晶体管的复杂集成电路,以减少偏差、提高成功率及节省费用。制造方 面,基于新材料、新工艺的下一代 EDA 技术将给集成电路性能提升、尺寸缩减带来新的 发展机遇。

市场角度:EDA 对芯片制造的作用举足轻重,是万亿电子信息产业的支点。随着芯 片工艺水平的精细,流片的成本越来越高昂,EDA 技术成为芯片制造中不可替代的部分。 EDA 技术可以帮助设计者极大地提高效率、缩短设计周期、节省设计成本。从 EDA 市 场本身来看,根据华经产业研究院数据,2020 年 EDA 市场实现 10%的增速,为近五年 的最高增速,而根据 research and markets 数据,预计到 2025 年全球 EDA 市场规模将 达到 145 亿美元。从电子行业来看,EDA 直接支撑的半导体制造产业市场规模高达 700 亿美元,再向上更是支撑着万亿规模的数字经济,杠杆效应接近 200 倍。国内的集成电 路市场相较于全球其他地区规模最大、增速最快,EDA 工具的杠杆效应更加明显。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(2)

​​

1.2 历经 50 年改进,从 CAD 发展为 EDA

从 CAD 到现代 EDA,逐渐成为半导体行业的核心节点。EDA 发展至今已经成为整 个半导体行业生态链中最上游、最高端的节点,芯片制造的全流程几乎都有 EDA 的参 与。回顾 EDA 发展的 50 年,共经历了四个发展阶段:

第一阶段:计算机辅助设计(CAD)时代。20 世纪 70 年代中期,随着 电路集成度的提升,设计人员开始尝试使用 CAD 工具进行设计工程自动化来替 代手工绘图,当时 CAD 的主要功能是交互图形编辑、晶体管级版图设计、布局 布线、设计规则检查、门级电路模拟和验证等。

第二阶段:计算机辅助工程(CAED)时代。EDA 工具功能开始包括自动布局布线、定时分析、逻辑模拟、仿真故障等, 主要对设计电路的功能检测问题进行处理。这个时代 EDA 商业化逐渐成熟,现 在的 EDA 三巨头 Mentor 、Cadence 和 Synopsys 相继成立。

第三阶段:电子系统设计自动化(EDA)时代。90 年代之后,硬件语言 的标准化和微电子技术的突飞猛进(芯片可以集成上亿晶体管),推动了 EDA 设计工具的发展和普及。设计师开始从电路设计转向系统设计,以高级语言描述、 系统级仿真和综合技术为特点的 EDA 就此出现,真正实现了设计的自动化。

第四阶段:现代 EDA 时代。随着大规模集成电路、计算机和电子系统 设计技术的不断发展, EDA 技术在多种产业广泛应用,从设计、性能测试、特 性分析、产品模拟等,都可在 EDA 环境下进行开发与验证。同时随着智能手机、 4G/5G、物联网等技术的发展,射频 EDA 软件迎来了发展的黄金阶段。

1.3 超高技术壁垒带来超高毛利率,EDA 产业模式独特

EDA 产业技术壁垒高筑,EDA 软件业务享受近 90%毛利率。正如前文所说,EDA 是算法密集型产业,需要对数千种情境进行快速设计探索,涉及计算机、数学、物理等 多基础学科的结合应用。这种基础学科技术的不断突破和应用,需要通过长时间的技术 研发投入和专利积累来实现。目前,头部企业对 EDA 的长期高强度的技术研发投入成为 其保持长久竞争力的关键之一,成熟的 EDA 企业纷纷形成了极高的技术壁垒。过去十年 间,世界头部 EDA 企业 Cadence 和 Synopsys 的研发投入始终保持在 30%以上。另一 方面,超高的研发投入与技术壁垒让行业内的企业享受到了近 90%的毛利率,行业内头 部企业 Synopsys 和 Cadence 的总体毛利率常年维持在 80%左右,并呈现持续上升的 趋势。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(3)

​​

商业模式从 License 授权,到 IP 核和硬件加速器,EDA 企业服务范围不断扩大。 EDA 行业的商业模式不断创新,由单一 EDA 软件的销售演进到现在的 License 证书授 权、IP 核(Intellectual Property)以及仿真加速器的三部分销售。1)License 证书授权: 标准 EDA 软件包,售价昂贵,下游客户通常需要购买多套 license 才能满足需求,三年 license 费用高达百万美金。而随着半导体工艺和 EDA 技术的不断进步,客户需要重复 购买,使 EDA 行业的利润得到很大程度上的保障。2)IP 核:把拥有知识产权的电路设计方案整合为一体,构成集成电路的基本单位,这些基本单位被以功能扩展包的形式出 售。不同功能的 IP 被组合起来可以构造不同功能晶片的基础系统。3)加速器:加快仿 真速度,提升客户产品完成效率。

1.4 EDA 企业的三大发展动能:收并购、政策支持、产业链协同

收购和并购:EDA 企业扩张的核心手段,促使产品由点及面快速完善。回顾 EDA 的过去 50 年,由于 EDA 工具种类繁多、分工精细、领域内技术壁垒高筑的特点,行业 内的三巨头均是在某一特定领域崛起后依靠收并购来拓展自己的产品线,依靠技术 资 本的双重力量,在扩充加强产品线的同时将潜在的挑战者“扼制”在萌芽状态。自三巨 头成立以来至今,Synopsys 进行了近百次的收购,Cadence 本身就是并购形成,50 年 ​间​进行了超过 70 次的收购,Mentor Graphics 则进行了近 50 次的收购。从市场规模也 可以看出收并购最频繁的 Synopsys 占有了全球最多的市场份额。具体来看,有多次重 要的收购导致了三巨头现在的市场格局,比如,2001 年 Synopsys 收购 Avanti,一举补 齐了数字集成电路 EDA 全流程技术,获得了后端布局布线近四成的市场;2008 年 Synopsys 又通过收购 Synpicity 成功进入 FPGA 和快速增长的原型市场。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(4)

​​

政策支持:EDA 行业发展的护道者,美国政府每年在 EDA 行业投入数千万美金。 EDA 行业规模小、技术难却不可或缺,是实现复杂芯片设计的必要工具,因此政府的支 ​持​成为行业发展的重要保障。自 1980 年 EDA 初步商业化开始,以美国为首的发达国家 就从未停止过对 EDA 领域的支持。美国政府方面,主要由国家科学基金(NSF)和半导 体研究共同体(SRC)为 EDA 研究保驾护航,两者交互配合,弥合创新前段由于知识需 求和商业关注的巨大差距形成的“创新死亡谷”。NSF 的主要任务是促进突破性的发现, 帮助企业克服创新研究的初期阶段,1984 年到 2015 年,NSF 支持了 1190 个与 EDA 相 关的课题。而 SRC 则是 NSF 的接棒者,主要关注研究成果的初步商业化,聚焦芯片设 计领域,每年将大约 2000 万美元的资金投向 EDA 研究领域。

全产业链协同发展:EDA 进步的基础,EDA、Fabless 和 Foundry 是芯片制造的 铁三角。从整个产业链来看,EDA 产品开发模式为铁三角模式,EDA 的进步背后是整个 产业链能力的提升。在这个三角中,第一个顶点为 EDA 厂商,其为 Fabless 提供支持; 第二个顶点是 Foundry 厂商,通过 Foundry 厂在工艺文件、工艺参数(PDK)上的支持, EDA 厂商才能将设计出的曲线与实际流片曲线进行拟合,吻合度越好说明工具越成熟; 第三个顶点是 Fabless,其是 EDA 工具的主要使用者,EDA 的研发重点在于解决设计 过程中遇到的问题,而新的问题来源都是新工艺和复杂设计,Fabless 厂商复杂设计的 演进会带给 EDA 厂商新的机会和改进空间。

1.5 产业链升级叠加政策助力,国内 EDA 行业有望取得突破

国内市场仍以海外三巨头为主,国产企业崭露头角。2020 年,Cadence、Synopsys 和 Siemens EDA 三家公司仍占据了国内 EDA 行业的主导地位,合计市占率为 77%,三 巨头的技术水平、产品完成度和丰富度仍旧大幅领先国内相关企业。从国内企业来看, 国产 EDA 企业逐步发力,2020 年,华大九天在国内 EDA 市场以 6%的市占率排名第 四,已经超过另外两大海外大厂 Ansys 和 Keysight;概伦电子也初步打入市场,占据国 内市场 1.4%的份额。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(5)

​​

国内芯片产业发展迅速,奠定国产 EDA 发展的土壤。从产业链角度看,芯片制造主 要分为芯片设计、芯片制造、封装/测试三个环节,目前我国已经在多个环节实现了自主 可控。具体来看:1)芯片设计环节:从技术能力来看,华为海思等 Fabless 厂商已经进 入世界前列;2)芯片制造环节:我国在国际上有明显的竞争力,在世界营收前十的晶圆 厂中,中芯国际位居第五,华虹集团位居第八;3)芯片封装测试环节:我国在封装测试 方面竞争力较强,世界营收前十的封装测试厂中,长电科技位居第三、通富微电位居第 五,华天科技位居第六。从市场角度看,一方面,国际技术竞争激烈,芯片全产业链的 国产化替代大有可为,EDA 是其中的重要一环;另一方面,下游电子产品市场发展迅速, 手机、电脑、智能车、IoT 产品等市场对芯片的需求不断增长,推动了整个芯片产业的发 展。我们认为,当下国内产业链逐渐完备、下游需求景气度高涨,我国已经具备了 EDA 技术发展的土壤。

国内 EDA 市场快速发展,相关人才储备逐步上升。从国内市场来看,Synopsys、 Cadence 和 Mentor Graphics(Siemens EDA)三大国际 EDA 厂商主导市场,但国内 本土品牌持续发力,在部分领域已经实现突破,整体份额持续上升。根据赛迪顾问数据, 国产 EDA 工具销售额在 2018-2020 年呈现逐年增长的态势。2020 年,国产 EDA 工具 实现 9.1 亿元的销售额,其中境外销售为 1.5 亿元,境内销售额为 7.6 亿元。此外,国 内 EDA 企业持续吸纳 EDA 相关人才,2018 年至 2020 年,我国 EDA 企业人才由 700 人增长至 2000 人,为未来我国 EDA 行业的持续技术突破打下了良好的基础。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(6)

​​

政策力度持续加大,为 EDA 技术的发展保驾护航。20 世纪八十年代,国内就开始 了 EDA 的研究,但为了在集成电路领域不被国外落下,不得不暂缓国内 EDA 软件的发 展,使用国外的 EDA 软件。现阶段,我国面临更严峻的国际技术竞争,集成电路设计和 EDA 工具再次成为了政策支持的重中之重。2016 年,国家陆续出台集成电路设计领域 的重点布局事项和相关税收优惠政策。2019 年,国家再次对集成电路设计领域进行了税 收优惠,随后开展了 2019-2022 制造业设计能力提升专项行动。2020 年,国务院发布 了《新时期促进集成电路产业和软件产业高质量发展的若干政策》,从财税政策、投融资 政策、研究开发政策、进出口政策、人才政策、知识产权政策、市场应用政策、国际合 作政策八大方面为 EDA 行业助力。

需求、供给、政策三重共振,国产 EDA 处在爆发前夕。需求方面,国产集成电路领 域经过多年的发展,已有了世界领先的 Fabless 和 Foundry 厂商,保障了对国产 EDA 工 具的需求;供给方面,我国 EDA 企业初步崭露头角,人才储备逐渐丰富,未来技术迭代 有望加速;政策方面,国家接连出台大力度的支持政策,为集成电路设计开辟发展的绿 色通道。综上我们认为,在三重因素共振的背景下,国产 EDA 有望加速崛起。

2. Synopsys:EDA 行业全球龙头,三大业务板块齐头并进

2.1 EDA 行业的领头者,布局全链 EDA 产品

Synopsys 是全球 EDA 龙头企业,通过收并购实现快速发展。Synopsys 目前是全 球排名第一的电子设计自动化(EDA) 解决方案提供商和芯片接口 IP 供应商。从公司产 品结构来看,公司历经三个发展阶段:1)初创期,专注于逻辑综合工具。1986 年, Synopsys 前身 Optimal Solutions, Inc.成立,专注于逻辑综合工具,开创了一个自上而 下设计定义的时代。1987 年到 1989 年,Synopsys 的营收从 13 万美元增长至 730 万 美元,实现 55 倍的高速增长。2)扩张期,快速丰富产品结构。1990 年,公司意识到无 法依靠一个产品实现持续发展,于是公司在随后的十年中进行了 20 起相关领域收购,并 逐渐在逻辑综合、模拟和测试三个技术领域确立了公司的领先地位。3)成熟期,确立全 球龙头地位。2002 年,公司收购 Avant,成为全球第一家可以提供顶级前后端完整 IC 设 计方案的 EDA 工具供应商。2008 年,公司成为全球龙头并保持至今。

为下游多个领域赋能,客户覆盖全球各类头部企业。公司作为 EDA 行业领导者,在 芯片到软件等多个领域与全球领先科技公司合作紧密,共同开发电子产品和软件应用。 从覆盖的行业来看,除了半导体、电子系统领域外,公司客户还覆盖电子、金融服务、 媒体、汽车、医药、能源和工业等不同行业。具体到客户来看,公司客户包括所有半导 体设计头部企业、医疗企业 Rally、IoT 企业 Palma Ceia SemiDesign 等各领域公司。

2.2 营业收入稳健增长,高研发费用率保障公司技术优势

公司营收持续增长,2021 前三季度实现近五年最快增速。2017 年至 2020 年,公 司营收稳定增长,从 27 亿美元增长至 37 亿美元,CAGR 实现 11%,远高于行业 7%的 CAGR。具体来看,2019 年公司营收增速下滑至 7.7%,主要系行业内竞争加剧以及国 际竞争所致,2020 年和 2021 前三季度公司营收为 37 亿美元和 31 亿美元,同比增长 9.7%和 15%,增速回升,主要系 2020 年后互联网、云计算的发展扩大了电子产品的应 用范围,刺激了芯片和软件设计公司的业务增长。从净利润来看,公司净利润受税收影 响较大,2017 年和 2018 年的增速波动均是税收政策改变和税收处罚所致。去除 2017 年影响,其他年份的净利润增速保持在 20%左右。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(7)

​​

EDA 是公司主要收入来源,IP 业务有望成为未来增长点。分业务来看:1)EDA 业 务是公司收入的主要来源,2017 年至 2021Q3,公司 EDA 业务营收持续增长,但营收 占比由 2017 年的 66%下降至 2021 前三季度的 56%;2)IP 业务是公司业绩的新增长 点,2017年至2020年,公司IP业务收入由7.6亿美元增长至12亿美元,实现CAGR17%。 收入占比由 2017 年 28%提升至 2021 前三季度的 34%。3)软件完整性是公司新发展业 务,2017 年至 2020 年其业务营收由 1.6 亿美元增长至到 3.7 亿美元,占比由 6%增长 至 10%。我们预计 IP 业务将逐步成为 Synopsys 新的增长驱动力。分地区来看,公司以 亚洲为主的其他市场收入不断提升,营收占比由2017年的39%增长至2021H1的43%。 美国地区的营收占比有所缩减,由 2017 年的 50%下降至 2021H1 的 46%。

高毛利业务占比逐年提升,公司毛利率逐步优化。由于所处软件行业的特点,公司 毛利率一直维持高位,近 10 年来一直保持在 75%以上。2020 年和 2021 前三季度分别 为 78%和 79%。我们认为这主要受益于 IP 业务占比的提升,IP 业务由于后期维护的成 本较低,毛利率高于 EDA 业务,其占比的不断提升驱动公司毛利率增长。同时,公司净 利率也在逐步优化,由 2017 年的 5%提升至 2020 年的 18%。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(8)

​​

研发费用率维持 30%以上,保障公司高技术壁垒。公司身处技术密集型产业,技术 创新是核心竞争力。2017 年到 2021Q3 期间,公司不断增加研发投入,用于新产品的研 发和现有产品功能的维护和升级。公司研发费用率一直在 30%以上,主要原因是研发人 员增加导致的薪酬变化以及设备成本和咨询顾问费用的增加。截至到 2020 年,公司已 经拥有 3300 多项已批准专利。

2.3 EDA 软件为根,三大业务协同发展

EDA 行业的领导者,设计、验证和制造全流程覆盖。公司是全球 EDA 行业的龙头, 所有世界领先的半导体企业都在使用公司的 EDA 工具。从产品来看,公司 EDA 产品主 要分为三大部分:1)设计业务包含 Fusion Design Platform(融合设计)、Custom Design Platform(定制设计) 和 Silicon Lifecycle Management Platform(硅生命周期管理)三 大平台,以及 3DIC 设计、机器学习/ AI 设计、物理实现、RTL 设计与综合、signoff、 流程自动化、测试自动化、FPGA 设计等产品。2)验证工具可以验证整个系统,快速发 现 SoC bug。公司的验证工具主要包括仿真、静态和形式验证、AMS 验证、验证 IP、原 型设计、FPGA 验证等功能;3)制造类工具可以帮助晶圆厂进行工艺验证,进行良率管 理,实现效率、功耗、良率等指标之间的平衡。

IP 核需求量迅速上升,公司是最全面的 IP 供应商。随着更多的功能汇聚到单个设 备甚至单个芯片中,芯片设计变得越来越复杂,IP 块的需求量正在迅速增加。公司提供 最大和最广泛的 IP 解决方案组合,2020 财年公司 IP 平台的收入已经超过 9 亿美元,占 公司总体收入的 33%。具体来看,公司的 DesignWare IP 包括逻辑库、嵌入式存储器、 模拟 IP、接口 IP、安全 IP 和嵌入式处理器,并且提供芯片架构、子系统、信号/电源完 整性、原型设计套件和硅晶初启支持。2021 年公司再次推出了业界首个面向 PCI Express 6.0(高速串行计算机扩展总线标准)的完整 IP 解决方案。

EDA 业务持续外延,发展软件完整性平台。公司的软件完整性平台可以将完整性、 安全性、质量和遵从性测试构建到客户的软件开发生命周期和供应链中。主要包括四大 功能:1)静态分析,可以找到代码中的关键缺陷和漏洞;2)软件组成分析,分析第三 方或者开源代码,保障代码安全性和合规性;3)动态分析,测试运行应用程序,发现安 全漏洞;4)安全服务,构建软件安全计划的战略分析。总体来说,对内可以保证代码一 致性和合规性,并自动检测代码漏洞;对外可以抵御恶意软件发起的网络攻击。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(9)

​​

3. Cadence:行业长期领跑者,从 EDA 到电子设计流程全覆盖

3.1 EDA 行业长期领导者,持续打造全链产品线

合并度过难关、收购做大做强,三十年 EDA 行业领导者。Cadence 由 SDA 和 ECDA 于 1988年合并而成,是一家电子设计自动化、半导体技术解决方案和设计服务供应商, 主要包含功能验证、数字集成电路设计与验收、定制集成电路设计与仿真、系统互联与 分析和 IP 五大业务。按照公司业务布局划分,公司发展主要分为以下几个阶段:

1)设计软件布局阶段:1988 年公司成立,次年公司收购 Tangent Systems,推出时序驱动 ASIC 布局和布线工具,随后进行多次收购,打造了业内首批系统级设计技术。2)仿真 业务布局阶段:1998 年公司收购 Quickturn,成功立足仿真硬件和软件市场。3)IP 业 务布局阶段:2011年公司推出业界首款 DDR4 和宽带 I/O IP 解决方案 ,并收购 Denali Software,获得其存储 IP 和 VIP,并通过后续的持续收购扩展公司在高速接口、模拟/混 合信号和 DSP 领域的 IP 产品。4)全线升级阶段:近年来,公司不断推动其产品更新升 级。2013 年,公司联合 ARM 推出用于 TSMC 16nm FinFET 工艺的处理器。2017 年, 公司推出 Virtuoso 系统设计平台,提供 IC、封装和电路板间的无缝设计流程并于 2021 年推出新一代 Vision DSP 产品 P1 和 Q8。

3.2 公司营收加速上升,盈利能力持续改善

公司营收持续增长,税前利润稳步提升。从营收来看,公司营收从 2017 年的 19 亿 美元增长至 2020 年的 27 亿美元,CAGR 达到 12.4%,远超整体行业增速。2021H1 公 司实现营业收入 15 亿美元,同比增速进一步加快达 17%。近年来公司业绩的加速增长 主要系下游电子产品需求增多,刺激了厂商对于芯片和设计软件的需求。从盈利能力来看,公司净利润增速波动较大,主要系收并购带来的所得税波动所致。除去税收影响, 2017 年至 2020 年,公司税前利润由 3.2 亿美元增长至 6.3 亿美元,CAGR 达到 25%。 2021H1 公司税前利润达到 3.9 亿美元,同比增长 37%,增速持续远高于营收增速,公 司获利能力不断增强。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(10)

​​

五大业务协同发展,海外市场不断扩大。从业务构成来看,各项分拆业务增速与总 体营收增速保持一致,各项业务营收占比基本稳定,其中,定制集成电路设计与仿真、 数字集成电路设计与验收和功能验证是公司三大主营业务,营收占比均在 20%以上。分 地区来看,公司亚洲市场收入不断提升,营收占比由 2017 年的 27%增长至 2021H1 的 31%。欧洲、中东和非洲地区的营收占比有所缩减,由 2017 年的 20%下降至 2021H1 的 17%。

毛利率持续保持高位,净利率增长显著。从毛利率来看,公司毛利率多年来一直维 持在 88%以上,高于 Synopsys、Mentor 等同业公司。从净利率来看,2017-2021H1 期 间,公司净利率由 11%增长至 23%,提升了 12pct。2019 年,由于收并购引起公司税收 有所波动,去除此影响,公司净利率逐步提升,获利能力不断增强。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(11)

​​

高研发费用率保障公司行业地位,技术进步加速产品迭代。近年来 Cadence 不断加 大研发投入,研发费用率一直保持在 40%左右,高于 Synopsys、Mentor 等同业公司。 这使得公司产品更新迅速,例如 2021 年公司再次推出新一代的 Vision DSP 产品 P1 和 Q8。从营收数据来看,公司高投入高回报的策略收效显著,公司营收增速不断攀升, 2021H1 公司营收增速达 17%。

3.3 五大产品线齐头并进,持续保持技术领先性

集成电路和射频/微波的自动化设计:大幅提升复杂设计仿真效率。公司的定制集成 电路/模拟/射频设计应用范围广泛,包括晶体管级模拟、混合信号、定制数字、内存和 RF 设计等。主要技术包括:1)Virtuoso System Design Platform:面向集成电路和封装设 计的 统一化“系统感知”平台,可以实现跨芯片、封装和电路板并行设计,节省时间并 最大程度地减少错误;适合集成多种结构电路类型(包括射频、模拟和数字系统)的设 计。2)Custom/Analog Advanced Node:面向 20nm 及以下工艺定制/模拟设计的创新 功能,实现硅片质量、设计效率、准确预测的全面提升。3)混合信号解决方案:实现了 技术流程的统一、模拟与数字设计的协同等功能。4)光电设计:集成电子/光子设计自动 化环境,可在单一流程中提供完整的光电集成电路解决方案。

数字设计与 signoff 平台:加速设计周期提升设计质量。数字设计和验证产品用于 创建可以在实施之前经过正确性验证的数字电路或 IC 的逻辑表示,从集成流程开始,在 设计的架构级抽象与详细的物理实现约束之间取得平衡。其主要功能包括缺陷检测和纠 正、预测性改善和设计收敛、功耗验证、平衡功耗性能和面积、管理约束和跨时钟设计 以及完整的行业标准。signoff 可以借助集成引擎和大规模并行的云就绪流程,提升设计 准确度并加快设计收敛。2021 年,公司再次推出完全基于机器学习的数字设计软件 Cerebrus,巩固数字设计领域领导地位。

验证工具:最快的引擎和最智能的全面验证管理工具。公司的功能验证产品主要用 于在定制和模拟设计之后验证设计的电路或者产品能否按预期运行,进而保证电路制造 的可行性,大大降低失误的成本。公司的验证工具可以充分提升设计质量,满足各种应 用和验证要求,主要包括形式与静态验证、仿真、模拟、计划与管理、验证 IP、Debug 分析、软件驱动验证、系统级 IP 验证等功能。

IC 封装设计与分析工具:实现跨平台与流程统一。公司的 Allegro Package Designer Plus 和 OrbitI Interconnect Designer 工具提供了世界一流的跨平台设计规划与优化,以 及单裸片和多裸片的先进封装与模块布局平台,可实现自动化和提升精准度,在综合环 境中加快设计过程,包括全面的电气和热分析以及 IC/封装协同设计。

PCB 设计与分析:简化从概念到投产的复杂设计流程。公司 PCB 设计与分析工具 打破了物理隔阂和设计领域的局限性,加速复杂的多电路板 PCB 系统设计。公司整个 PCB 设计与分析产品矩阵包括前端原理图设计、后端电路板 Layout 和布线、库与设计 数据流程管理、模拟信号仿真、SI/PI 分析等产品,形成了多电路板 PCB 系统设计、PDN 设计、3D 系统设计、IC/封装/PCB 协同设计解决方案。

4. Mentor Graphics:EDA 技术的先行者,核心产品优势突出

4.1 EDA 行业的先行者,被西门子收购协同发展

电子设计自动化技术先行者,与西门子实现合作共赢。公司提供完整的软件和硬件 设计解决方案,客户主要为高精尖行业,如军工,航空,半导体等。从公司业务发展来看,可以分为四个阶段:

1)公司创立。1981 年,Mentor Graphics 在美国成立。1983 年,收购了自动化设计公司 CADI,同年发布交互式仿真软件 MSPICE。2)快速发展。 1983年,公司开始拓展海外市场,先后在英国、法国、西德、日本等地区建立分公司。 随后,Mentor 加快了新产品的发布,几乎每个月都会发布一款新产品。1988 年,公司 收入突破 3 亿美元,当时全球 EDA 市场规模约为 9 亿美元,公司占据了三分之一。3) 陷入困境。1989 年,公司开发的新一代设计自动化软件 Mentor Graphics 8.0,完成时 间逾期,导致市场规模迅速萎缩。1991年,公司首次出现季度亏损,并裁掉了 15%的员 工。4)被西门子收购。在之后的几年,公司通过大量的收购巩固了公司行业地位,但战 略失误使得公司出现多年亏损,整体业绩增速也在放缓,2016年公司被西门子收购成为西门子 EDA 部门。

营收增速出现一定波动,与西门子合力未来业绩有望持续向好。2006 年至 2015 年 Mentor 营收增速波动较大,但除去 2008 年与 2015 年,公司营收整体增速始终维持在 8%左右,并有改善趋势,符合行业整体增速。从盈利能力来看,公司 2008 年与 2010 年 出现亏损,随后盈利出现爆发式增长,并逐渐稳定,整体盈利水平在一亿元左右。2016 年之后公司被 Siemens 收购后,成为 Siemens EDA 部门。我们认为,通过与 Siemens 的协同,公司未来竞争力有望加强,实现市场份额的快速增长。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(12)

​​

研发费用率稳步上升,获取持续竞争力。Mentor 2012 年以来,研发费用率稳定抬 升,销售费用率持续下降。从研发费用角度,Mentor 研发费用主要体现在两个方面,一 方面是对已有产品的持续改进和新产品的开发,另一方面在于通过兼并收购来扩大现有 产品,并寻求新的业务领域。由 Mentor 年报可知,员工薪酬和兼并收购费用是研发费用 的主要组成部分,公司对核心技术研发人员的高投入与兼并收购是公司在 EDA 市场中 长期取得竞争优势的重要原因。从销售费用角度,销售费用绝对值相对稳定,在公司营 收稳步增长的情况下,销售费用率有所下降。此外,公司海外市场持续扩大,公司销售 费用率的降低一定程度上能够反应公司在 EDA 市场具有销售渠道优势,并且其海内外 市场进一步成熟,有利于销售费用率的降低。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(13)

​​

4.2 领先的后端设计工具,西门子助力布局三大业务方向

国际领先的后端设计工具,西门子助力全方位发展。Mentor Graphics 的优势在于 Calibre signoff 和 DFT 环节。DFT(Design For Test)是电路和芯片设计的重要环节,其 使芯片变得容易测试,大幅度节省芯片测试的成本;Calibre 是 DFM(Design For Manufaturing)产品,主要应用于物理验证,使得设计者可以自由选择受光刻影响最小的 设计流程。Siemens 的 PLM 业务收购 Mentor Graphics 后成立了西门子数字工业软件 公司(Siemens PLM Siemens EDA),打破工程学科之间的障碍,搭建了全面、集成的 软件和服务组合平台 Xcelerator,志在打造全面的数字生态系统。

西门子收购 Mentor Graphic,相互协同实现双赢。对于 Mentor Graphics 来说:被 Siemens 收购,一方面可以获得资金支持,缓解经营压力;另一方面可以协同 Siemens 原有产品服务,依托西门的渠道以及集团级和合作,自上而下迅速开拓市场,实现业务 的加速发展。对于 Siemens 来说:收购 Mentor 帮助 Siemens 把业务拓展到嵌入式软 件、SoC 设计和 EDA 工具等领域,从行业、产品设计领域和生产阶段方面对“数字工 厂”战略进行了补充,囊括 CAD、CAM 和 EDA 业务,形成完整的软件布局。此外, Mentor 为许多大型 OEM 和供应商提供 AutoSAR 等平台和集成工程服务,因此通过收 购,Siemens 能够借 Mentor 之力,把握汽车电子化机遇。完成收购后,西门子在数字 工厂行业的市场份额从 2017 年第一季度的 4%,迅速上升到 2018 年第二季度的 20%。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(14)

​​

三大业务线共举,持续打造全流程 EDA 工具。目前,Siemens EDA 产品所覆盖的 设计流程包括:1)集成电路设计、验证和制造。产品能够使用 AI 驱动,实现功率、性能、 面积和功能的平衡,满足从 C 原型到数字孪生所有级别的验证。2)集成电路封装设计 和验证。产品使用完整的 2.5/3D IC 集成、设计和验证解决方案,快速、准确、高容量完 成验证,提高产品性能。3)PCB 系统设计和制造。公司产品包括从单个 PCB 的无缝扩 展到系统设计,从个人到企业级的集成和优化以及从设计到制造的整个流程。

5.独具优势的 EDA 厂商:Keysight 和 Ansys

5.1Keysight:专精通信设计和器件建模 EDA 软件

专注于测试测量领域,通信设计和器件建模领域 EDA 的领导者。Keysight 专注于 电子和光信号的测试测量,提供跨行业全流程的相关解决方案,硬件类产品包括电信号 测试仪器仪表、网络测试仪器、网络安全硬件以及光学仪器以及各类仪器的附件及技术 支持;软件类产品的主要包括 PathWave 系列设计和测试一体化软件以及其他应用软件 和编程环境软件。目前,公司客户已遍布全球,包括高通、英伟达、西门子、特斯拉等 全球多行业的龙头企业。公司旗下的 Keysight EEsof EDA 是通信产品设计领域领先的 电子设计自动化(EDA)软件供应商,其开发的软件产品可以实现射频、微波、器件建 模和信号处理设计,覆盖商用无线、国防电子系统(ESL)、信号完整性、射频混合信号、 器件建模、射频和微波设计等应用领域。2020 年,公司总体营收规模达 42 亿美元。

软件硬件服务三位一体,打造跨行业全流程解决方案。公司提供市场领先的硬件、 软件、服务于一体的解决方案,囊括仿真、原型系统、验证、制造、优化全工作流程, 服务于通信、网络安全、自动驾驶、国防、IoT 多个下游行业。

PathWave 系列软件平台:涵盖产品全生命周期的设计与测试需求的一体化平台。 该软件将模拟、制作原型、实验、生产、优化五个阶段进行整合,形成全周期的软件支 持。主要包括以下四款软件:1)先进系统设计软件(ADS),配有丰富程序库以及设计 指南的电路设计和仿真软件,将 EM 仿真、电路设计和版图功能整合到一起,覆盖从设 计到封装的全过程。iconicRF 团队就曾利用 PathWaveADS 快速评估基站蜂窝性能,并 进行射频和毫米波功率放大器设计优化。2)设计软件(Empro),用于三维元器件的电 磁建模和仿真的软件,能够分析元器件的 3DEM 效应。3)射频合成软件(Genesys), 面向印刷电路板和子系统设计人员的射频和微波电路合成与仿真软件。4)系统设计软件 (SystemVue),面向系统架构师和算法开发人员的系统级设计和仿真软件。该软件能为 开发者提供基础的构建模块,并自动完成代码生成和模型编译。

5.2Ansys:专注于工程仿真 EDA 软件

专注于各类仿真业务,业界唯一完整系统、电路和电磁场全集成化设计平台供应商。 Ansys 成立于 1970 年,专注于工程仿真软件和技术。ANSYS 电子自动化设计(EDA) 软件,来自于著名的 Ansoft 公司,提供业界唯一完整的系统、电路和电磁场全集成化设 计平台,完成从部件设计、电路仿真优化到系统仿真验证的全过程。ANSYS 的 EDA 产 品在高频和低频电磁场仿真、时域/频域非线性电路仿真、机电一体化设计技术等方面始 终处于领导地位,广泛应用于各类高性能电子设备的设计,包括了航空航天、集成电路、 通讯、汽车、船舶等领域,覆盖了网络设备与宽带部件,雷达、通信与电子对抗系统, 集成电路(IC),印刷电路板(PCB),医疗电子系统,汽车电子系统等多个方面。

软件许可维系公司营收高增长,维护服务提升产品附加值。Ansys 近五年营收稳定 增长,由 2016 年的 9.8 亿美元增长至 2020 年的 17 亿美元,实现 CAGR 11.2%。2020 年营收同比增速放缓主要受疫情与中美技术竞争的影响。伴随疫情形式好转,2021H1 公 司营收进入恢复期。分业务看,软件许可与维护服务是 ANSYS 的两大收入模式。2018 年起,软件许可收入占比存在明显的下降,而维护服务收入提升,主要原因系:2018 年 起,公司将维护服务与公司软件许可业务直接绑定,软件售后收入被直接划归入维护服 务收入,使得维护服务收入占比提升。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(15)

​​

公司技术壁垒高筑,综合毛利率维持高位。2016 至 2019 年,公司综合毛利呈稳步 上升趋势,从 2016 年的 85%增长至 2019 年的 89%,2020 年与 2021 年有所下降,分 别为 87%/84%。Ansys 综合毛利率的变动主要来源于维护服务毛利率的变动,软件许可 毛利率因其软件固有属性以及公司技术壁垒,长期保持高毛利率,在 95%上下波动。维 护服务毛利率在 2020 年降低 2.3%,主要原因系:该业务属性决定其作业难以远程实现, 受疫情负面影响较大,在降低业务收入的同时提高了营业成本。此外美元汇率走弱也带 来了维护服务成本的增加。2021H1 维护服务毛利率进一步降低可能受该业务的季节性 波动影响。

高研发投入保证长久活力,加大投入快速拓展全球市场。2016 至 2020 年公司研发 费用与研发费用率稳中有升,研发费用率由 2016 年 18.5%增长至 2020 年 21.1%,为 公司产品更新与迭代带来长久活力。销售、行政及一般费用作为期间费用,其费用率总 体也保持上升趋势,主要原因系,公司近年来旨在拓宽全球市场,一方面,拓宽市场需 要更多销售、管理等费用投入以及外汇变动引起的财务费用波动;另一方面公司规模扩 大,人员增加等因素提高了公司的管理成本。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(16)

​​

电子仿真业务:行业顶尖的模拟仿真软件。公司的电子产品组合可以实现电源完整 性和信号完整性分析、电磁干扰和兼容性分析、无线和射频分析、热管理、电机分析、 电子可靠性仿真等功能,帮助企业最大限度地降低测试成本,确保合规性,大幅减少产 品开发时间。主要产品包括 Ansys EMA3D Cable、Ansys Motor-CAD、Ansys HFSS、 Ansys Nuhertz FilterSolution、Ansys Icepak、Ansys Q3D Extractor、Ansys Maxwell 、 Ansys SIwave。

半导体仿真业务:全面的多物理 EM/IR、热和电磁仿真引擎。Ansys 半导体产品提供一套全面的多物理 EM/IR、热和电磁仿真发动机,可以实现电源完整性 signoff、动态 降电压分析、2.5D 和 3D 电热 signoff、电源功效分析和优化、硅的电磁分析等功能。主 要产品包括 Ansys Exalto、Ansys RaptorH、Ansys Pathfinder、Ansys RedHawk-SC、 Ansys Path FX、Ansys RedHawk-SC Electrothermal、Ansys Pharos、Ansys TotemSC、Ansys PowerArtist、Ansys VeloceRF。

6.百花齐放的国内 EDA 市场

6.1 华大九天:国内唯一的全流程 EDA 工具企业

国产 EDA 龙头,打造全流程 EDA 工具。华大九天成立于 2009 年,是国内最早从 事 EDA 工具研发的公司,其前身是中国华大集成电路设计集团的 EDA 部门,核心成员 曾参与中国第一款自主全流程 EDA 系统——“熊猫 ICCAD 系统”的研发工作。在历经 十余年的发展后,公司目前已经成为国内规模最大、产品线最完整,综合实力最强的国 产 EDA 企业。从产品侧来看,公司旗下的 EDA 工具覆盖了数字电路、模拟电路、平板 显示电路和晶圆制造等领域。其中,在液晶平板显示领域,公司可提供全流程设计工具, 且具有全球竞争力;在模拟电路领域,公司是我国目前我唯一能够提供全流程 EDA 工具 的本土企业;在数字电路领域,公司也在时序分析、版图集成等方面拥有诸多具有特色 的点工具。从用户侧来看,公司近年来也在市场拓展方面取得了一定的进展,诸如京东 方、兆芯集成、TCL 等国内知名集成电路设计和面板制造企业均为公司的前五大客户。

下游需求扩张叠加公司能力边界拓展,业绩增长步入快车道。2018/2019/2020 年公 司营业收入分别为 1.50/2.57/4.15 亿元,19/20 年同比增长 70.6%/61.3%。同时公司 18/19/20 年净利润分别为 0.49/0.57/1.04 亿元,19/20 年同比增长 16.3%/82.5%。我们 认为,公司业绩高速增长的主要原因包括:1)国内半导体产业发展势头良好,集成电路 设计需求量不断提升,公司充分受益于下游需求的爆发;2)公司能力边际持续拓展,近 年来在数字、模拟、面板等领域均持续有新产品发布;3)国产化进程提速,公司作为国 内 EDA 龙头,陆续开拓了一系列国内优质客户。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(17)

​​

充分享受 license 模式下高毛利属性,近三年毛利率维持高位。公司近三年毛利率 始终维持在 85%以上,18/19/20 年分别为 95.35%/88.65%/88.68%。我们认为,公司毛 利率水平较高,主要系公司的商业模式由软件授权和技术服务开发结合而成,前者以 license 销售为主,边际成本接近于 0,因此毛利率也始终稳定在 100%的水平。同时, 我们发现公司近年来毛利率发生了些许下滑,这主要是因为,随着专业技术开发水平的 提升,公司逐步拓展了对外的专业软件定制开发业务, 该服务定制化属性较强,因此毛 利率较低,进而随着量的上升拉低了公司整体毛利率。但我们认为,定制开发帮助公司 拓展了业务范围和客户群体,从长期来看有利于公司发展。

公司以研发驱动为导向,契合产业演进趋势。作为半导体设计的最上游,EDA 始终 是一个研发驱动型的行业。放眼全球,诸如 Synopsys、Cadence、西门子半导体均常年 保持大规模的投入,而公司作为国内 EDA 龙头,也长期在研发方面倾注了较大的资源。 从投入来看,公司 18/19/20 年研发费用分别为 0.75/1.35/1.83 亿元,费用率分别为 49.8%/52.5%/44.2%。从产出结果来看,公司收入主要来源于主营业务提供核心技术软 件销售与相关技术开发服务,占比达 90%以上,具备从研发快速转化为产出的能力。从 研发人才来看,公司核心团队曾参与了中国第一款自主全流程 EDA 系统——“熊猫 ICCAD 系统”的研发工作。截止 2020 年 12 月 31 日,公司研发与技术人员数量达 322 人,研发与技术人员占公司总人数比例高达 67.51%,其中硕博比例高达 60%。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(18)

​​

打破海外企业垄断,国内唯一模拟电路设计全流程供应商。公司的模拟电路 EDA 工 具能够为下游 IC 行业客户提供版图设计、电路仿真、物理验证、参数提取和可靠性分析 在内的一站式完整解决方案。在原理图编辑阶段,公司具有 Aether 设计软件,其可以为 设计师高效的设计环境;在电路仿真阶段,公司具有 ALPS 工具和 ALPS-GT 系统,该 套系统突破了电路仿真的性能和容量瓶颈;在物理验证阶段,公司具有 Argus 工具,用 来检查版图与制造加工之间的适配性,能有效降低设计成本和减少设计失败的风险;提 取寄生参数阶段,公司则拥有 RCExplorer 工具,该工具可以根据工艺参数对版图电元 件进行数据计算。2020 年,公司发布了新一代模拟电路设计全流程设计工具,在提升各 方面性能的同时,也增加了对于新工艺的适配。

公司在数字电路设计领域具有数个有特色的点工具。其中,在单元库特征化提取阶 段,公司可提供 Liberal 工具和单元库/IP 质量验证工具 Qual,该工具为设计师加速单元 库的设计和优化提供了重要支撑;在高精度时序仿真分析阶段,公司可提供 XTime 工具, 该工具大大提高了分析电路时序的可靠性;在时序功耗优化工具的开发上,公司推出了 自研的 XTop 工具,该工具能显著提高时序和功耗优化的效率和质量;在版图集成阶段, 则形成了 Skipper 工具,该工具为高效的分析和处理超大规模版图数据提供了有力支撑。

公司在平板显示电路设计领域具有全球竞争力。旗下的全流程 EDA 工具能满足下 游 IC 行业客户的模型提取、版图编辑、电路仿真、物理验证、参数提取和可靠性分析在内的一站式完整解决需求。其中,版图编辑阶段利用了 AetherFPD 工具,该工具为设计师提供了高效的开发环境;物理验证阶段利用了 ArgusFPD 工具,该工具解决了不规则 电路 和版 图的 验 证难 题 ,大 大缩短产品 设计 周 期; 寄生 参数提取阶 段 利用了 RCExplorerFPD 工具,该工具在保证寄生参数提取精度的同时,极大的提升了计算效率; 可靠性分析阶段利用了 ArtemisFPD 工具,在提升效率的同时更装载了数据快速装载和 查询功能,为设计师提供了便捷、高效的分析和调试环境。

我们认为,自公司 2014 年发布该产品以来,经过数年的迭代,当前公司在平板显示电路设计领域已具有全球竞争力。 原因主要包括:1)供给侧:公司产品可满足诸多特殊要求,包括了对于手表(圆形屏)、 手机(水滴屏)、汽车仪表盘(曲线屏)等平板显示电路设计的支持;2)客户侧:公司的下游客户包括了诸如京东方、TCL 等头部面板厂商,且销售额逐年提升。

6.2 概伦电子:具有国际竞争力的器件建模与仿真领域 EDA 工具供应商

深耕器件建模和电路仿真领域,形成软硬件方案布局。1)成立初期,在半导体器件 建模领域取得成功。概伦电子由刘志宏博士所带领行业资深团队于 2010 年成立,成立 初期主攻半导体器件建模软件,旗下的 BISMPro Plus 自 2011 年正式发布后逐渐被绝大 多数行业领先的晶圆代工厂采用。2)依托技术积累,切入电路仿真赛道。2013 年公司 发布通用并行 SPICE 电路仿真器 NanoSpice,并在次年发布能够弥补传统 SPICE 和 FastSPICE 的不足的 NanoSpice Giga。经过数年市场检验,NanoSpice 系列仿真器持续被多家国内外领先集成电路企业特别是储存器厂商大规模采用。3)拓宽业务范围,布 局硬件产品。公司于 2016 发布低频噪声测试仪器 9812DX,两年内该仪器持续被领先晶 圆代工厂所采用。2019 年底,概伦电子并购博达微科技以扩大在建模方面的领先优势。

营收呈爆发式增长,期待后续盈利能力逐步抬升。从营收来看,公司在 2018、2019 和 2020 年分别实现营业收入 0.5 亿元、0.7 亿元和 1.37 亿元,2020 年营业收入同比增 长 110%,实现营收翻倍。公司拥有丰厚的技术经验,在我国政府大力支持集成电路产业 发展的背景下,需求不断扩张,同时公司拥有大量知名客户,包括积电、三星电子、SK 海力士、美光科技、联电、中芯国际等全球领先的集成电路企业。从净利润来看,公司 2018、2019、2020 年归母净利润分别为-0.1 亿元、-8.8 亿元和 0.8 亿元,其中 2019 年 公司因股权激励费用较大导致净利润为负,去除此影响,2018-2020 年扣非净利润为0.07 亿元、0.03 亿元、0.21 亿元,2019 年实现扭亏为盈。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(19)

​​

三大业务协同发展。国内收入占比稳步提升。分地区来看,得益于国内下游半导体 行业爆发,各厂商对于 EDA 工具需求持续旺盛,叠加国产化因素,公司 2018-2020 年 公司国内业务收入绝对值和占比双升,其中营收分别为 0.1、0.18 和 0.64 亿元,占比分 别为 19%、28%和 47%。分业务来看,EDA 工具授权仍为公司的第一大业务,其收入 从 2018 年的 0.43 亿元增长至 2020 年的 0.95 亿元,CAGR 为 49%,主要系国际及国 内晶圆制造厂的需求不断扩大以及 2019 年末公司并购博达微,进一步扩大了市场份额。 在 EDA 工具快速拓展的同时,公司也逐步实现了硬件产品,即半导体器件特性测试仪器 的协同发展,其收入从 2018 年的 63 万元增长至 2020 年的 2443 万元,占比接近 20%, 成为公司的第二大业务。半导体工程服务方面,由于其体量较小并采用项目制形式,因 此在收入上有所波动,2020 年的大幅增长主要系博达微半导体工程服务业务占比较高。

EDA 工具授权业务为主,整体毛利率持续保持高位。公司 2018、2019 和 2020 年 毛利率分别为 96%、95%和 90%,总体毛利率持续保持高位。分业务来看,公司 EDA 工具授权业务以销售标准化 EDA 软件产品为主,其相应开发成本已计入研发费用,无对 应成本,从而形成了高达 100%的毛利率,也是公司整体毛利率维持高位的主要原因。 而半导体器件特性测试仪器销售业务近三年毛利率分别为 68%、84%和 75%,其单位成 本相对稳定,毛利率主要受单位售价影响,2020 年毛利率有所下降主要由于收购博达微 后新增 FS-Pro 产品,FS-Pro 相对于 9812DX 毛利率较低。此外,公司的半导体工程服 务业务近年来毛利率有所波动,主要系其业务规模相对较小,客户相对集中,公司在不 同项目中议价能力有所差异。

​​

如何理解eda行业的产业价值:工业软件与半导体双轮驱动(20)

​​

高研发投入保障技术壁垒,高销售投入快速打开市场。从研发费用率来看,公司 2018、 2019 和 2020 年研发费用率分别为 37%、55%和 36%。所处 EDA 行业属于技术含量高 的知识密集型产业,研发投入大且研发周期长。公司下游客户多为集成电路行业内全球 知名企业,对 EDA 技术领先性要求较高,公司需要以持续的技术创新保证产品服务升级 迭代进度以面对国际竞争对手的技术竞争。目前,公司已经拥有多项 EDA 核心技术,包 括 19 项发明专利和 35 项软件著作权。从管理费用率和销售费用率来看,管理费用率分 别为 16%、20%和 19%,主要系公司经营规模相对较小,规模效应尚未显现。销售费用 率分别为 7%、11%和 20%,主要是公司积极转变销售模式,加大市场推广力度,不断 增强销售网络建设,销售人员不断增多,人员薪酬和相关费用增加所致。

制造类 EDA 工具市场地位稳固,客户覆盖全球领先的晶圆代工厂。公司目前的制造 类 EDA 工具主要为器件建模及验证 EDA 工具,用于快速准确地建立半导体器件模型, 是集成电路制造领域的核心关键工具之一。公司的制造类 EDA 工具已经得到包括台积 电、三星电子、联电、格芯、中芯国际等全球前十大晶圆厂中九家的广泛使用。2020 年 公司制造类 EDA 工具的累计收入的 50%以上来自公司与上述九家晶圆厂开展的器件建 模及验证 EDA 工具业务。

设计类 EDA 工具竞争优势显著,与全球三大存储器厂商合作密切。公司目前的设计 类 EDA 工具主要为电路仿真及验证 EDA 工具,用于大规模集成电路的电路仿真和验证, 优化电路的性能和良率,是集成电路设计领域的核心关键工具之一。公司已在全球存储 器芯片领域取得较强的竞争优势,部分实现对全球领先企业的替代,客户包括三星电子、 SK 海力士、美光科技等全球规模前三的存储器厂商。2020 年公司设计类 EDA 工具的 累计收入的 40%以上来自公司与上述三家储存器厂商开展的设计类 EDA 工具业务。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库官网】。

「链接」

,

免责声明:本文仅代表文章作者的个人观点,与本站无关。其原创性、真实性以及文中陈述文字和内容未经本站证实,对本文以及其中全部或者部分内容文字的真实性、完整性和原创性本站不作任何保证或承诺,请读者仅作参考,并自行核实相关内容。文章投诉邮箱:anhduc.ph@yahoo.com

    分享
    投诉
    首页